Primitive Type u64 []

The 64-bit unsigned integer type.

See also the std::u64 module.

Methods

impl u64

const fn min_value() -> u64

Returns the smallest value that can be represented by this integer type.

const fn max_value() -> u64

Returns the largest value that can be represented by this integer type.

fn from_str_radix(src: &str, radix: u32) -> Result<u64, ParseIntError>

Converts a string slice in a given base to an integer.

Leading and trailing whitespace represent an error.

Arguments

  • src - A string slice
  • radix - The base to use. Must lie in the range [2 .. 36]

Return value

Err(ParseIntError) if the string did not represent a valid number. Otherwise, Ok(n) where n is the integer represented by src.

fn count_ones(self) -> u32

Returns the number of ones in the binary representation of self.

Examples

Basic usage:

fn main() { let n = 0b01001100u8; assert_eq!(n.count_ones(), 3); }
let n = 0b01001100u8;

assert_eq!(n.count_ones(), 3);

fn count_zeros(self) -> u32

Returns the number of zeros in the binary representation of self.

Examples

Basic usage:

fn main() { let n = 0b01001100u8; assert_eq!(n.count_zeros(), 5); }
let n = 0b01001100u8;

assert_eq!(n.count_zeros(), 5);

fn leading_zeros(self) -> u32

Returns the number of leading zeros in the binary representation of self.

Examples

Basic usage:

fn main() { let n = 0b0101000u16; assert_eq!(n.leading_zeros(), 10); }
let n = 0b0101000u16;

assert_eq!(n.leading_zeros(), 10);

fn trailing_zeros(self) -> u32

Returns the number of trailing zeros in the binary representation of self.

Examples

Basic usage:

fn main() { let n = 0b0101000u16; assert_eq!(n.trailing_zeros(), 3); }
let n = 0b0101000u16;

assert_eq!(n.trailing_zeros(), 3);

fn rotate_left(self, n: u32) -> u64

Shifts the bits to the left by a specified amount, n, wrapping the truncated bits to the end of the resulting integer.

Examples

Basic usage:

fn main() { let n = 0x0123456789ABCDEFu64; let m = 0x3456789ABCDEF012u64; assert_eq!(n.rotate_left(12), m); }
let n = 0x0123456789ABCDEFu64;
let m = 0x3456789ABCDEF012u64;

assert_eq!(n.rotate_left(12), m);

fn rotate_right(self, n: u32) -> u64

Shifts the bits to the right by a specified amount, n, wrapping the truncated bits to the beginning of the resulting integer.

Examples

Basic usage:

fn main() { let n = 0x0123456789ABCDEFu64; let m = 0xDEF0123456789ABCu64; assert_eq!(n.rotate_right(12), m); }
let n = 0x0123456789ABCDEFu64;
let m = 0xDEF0123456789ABCu64;

assert_eq!(n.rotate_right(12), m);

fn swap_bytes(self) -> u64

Reverses the byte order of the integer.

Examples

Basic usage:

fn main() { let n = 0x0123456789ABCDEFu64; let m = 0xEFCDAB8967452301u64; assert_eq!(n.swap_bytes(), m); }
let n = 0x0123456789ABCDEFu64;
let m = 0xEFCDAB8967452301u64;

assert_eq!(n.swap_bytes(), m);

fn from_be(x: u64) -> u64

Converts an integer from big endian to the target's endianness.

On big endian this is a no-op. On little endian the bytes are swapped.

Examples

Basic usage:

fn main() { let n = 0x0123456789ABCDEFu64; if cfg!(target_endian = "big") { assert_eq!(u64::from_be(n), n) } else { assert_eq!(u64::from_be(n), n.swap_bytes()) } }
let n = 0x0123456789ABCDEFu64;

if cfg!(target_endian = "big") {
    assert_eq!(u64::from_be(n), n)
} else {
    assert_eq!(u64::from_be(n), n.swap_bytes())
}

fn from_le(x: u64) -> u64

Converts an integer from little endian to the target's endianness.

On little endian this is a no-op. On big endian the bytes are swapped.

Examples

Basic usage:

fn main() { let n = 0x0123456789ABCDEFu64; if cfg!(target_endian = "little") { assert_eq!(u64::from_le(n), n) } else { assert_eq!(u64::from_le(n), n.swap_bytes()) } }
let n = 0x0123456789ABCDEFu64;

if cfg!(target_endian = "little") {
    assert_eq!(u64::from_le(n), n)
} else {
    assert_eq!(u64::from_le(n), n.swap_bytes())
}

fn to_be(self) -> u64

Converts self to big endian from the target's endianness.

On big endian this is a no-op. On little endian the bytes are swapped.

Examples

Basic usage:

fn main() { let n = 0x0123456789ABCDEFu64; if cfg!(target_endian = "big") { assert_eq!(n.to_be(), n) } else { assert_eq!(n.to_be(), n.swap_bytes()) } }
let n = 0x0123456789ABCDEFu64;

if cfg!(target_endian = "big") {
    assert_eq!(n.to_be(), n)
} else {
    assert_eq!(n.to_be(), n.swap_bytes())
}

fn to_le(self) -> u64

Converts self to little endian from the target's endianness.

On little endian this is a no-op. On big endian the bytes are swapped.

Examples

Basic usage:

fn main() { let n = 0x0123456789ABCDEFu64; if cfg!(target_endian = "little") { assert_eq!(n.to_le(), n) } else { assert_eq!(n.to_le(), n.swap_bytes()) } }
let n = 0x0123456789ABCDEFu64;

if cfg!(target_endian = "little") {
    assert_eq!(n.to_le(), n)
} else {
    assert_eq!(n.to_le(), n.swap_bytes())
}

fn checked_add(self, other: u64) -> Option<u64>

Checked integer addition. Computes self + other, returning None if overflow occurred.

Examples

Basic usage:

fn main() { assert_eq!(5u16.checked_add(65530), Some(65535)); assert_eq!(6u16.checked_add(65530), None); }
assert_eq!(5u16.checked_add(65530), Some(65535));
assert_eq!(6u16.checked_add(65530), None);

fn checked_sub(self, other: u64) -> Option<u64>

Checked integer subtraction. Computes self - other, returning None if underflow occurred.

Examples

Basic usage:

fn main() { assert_eq!((-127i8).checked_sub(1), Some(-128)); assert_eq!((-128i8).checked_sub(1), None); }
assert_eq!((-127i8).checked_sub(1), Some(-128));
assert_eq!((-128i8).checked_sub(1), None);

fn checked_mul(self, other: u64) -> Option<u64>

Checked integer multiplication. Computes self * other, returning None if underflow or overflow occurred.

Examples

Basic usage:

fn main() { assert_eq!(5u8.checked_mul(51), Some(255)); assert_eq!(5u8.checked_mul(52), None); }
assert_eq!(5u8.checked_mul(51), Some(255));
assert_eq!(5u8.checked_mul(52), None);

fn checked_div(self, other: u64) -> Option<u64>

Checked integer division. Computes self / other, returning None if other == 0 or the operation results in underflow or overflow.

Examples

Basic usage:

fn main() { assert_eq!((-127i8).checked_div(-1), Some(127)); assert_eq!((-128i8).checked_div(-1), None); assert_eq!((1i8).checked_div(0), None); }
assert_eq!((-127i8).checked_div(-1), Some(127));
assert_eq!((-128i8).checked_div(-1), None);
assert_eq!((1i8).checked_div(0), None);

fn saturating_add(self, other: u64) -> u64

Saturating integer addition. Computes self + other, saturating at the numeric bounds instead of overflowing.

Examples

Basic usage:

fn main() { assert_eq!(100i8.saturating_add(1), 101); assert_eq!(100i8.saturating_add(127), 127); }
assert_eq!(100i8.saturating_add(1), 101);
assert_eq!(100i8.saturating_add(127), 127);

fn saturating_sub(self, other: u64) -> u64

Saturating integer subtraction. Computes self - other, saturating at the numeric bounds instead of overflowing.

Examples

Basic usage:

fn main() { assert_eq!(100i8.saturating_sub(127), -27); assert_eq!((-100i8).saturating_sub(127), -128); }
assert_eq!(100i8.saturating_sub(127), -27);
assert_eq!((-100i8).saturating_sub(127), -128);

fn wrapping_add(self, rhs: u64) -> u64

Wrapping (modular) addition. Computes self + other, wrapping around at the boundary of the type.

Examples

Basic usage:

fn main() { assert_eq!(100i8.wrapping_add(27), 127); assert_eq!(100i8.wrapping_add(127), -29); }
assert_eq!(100i8.wrapping_add(27), 127);
assert_eq!(100i8.wrapping_add(127), -29);

fn wrapping_sub(self, rhs: u64) -> u64

Wrapping (modular) subtraction. Computes self - other, wrapping around at the boundary of the type.

Examples

Basic usage:

fn main() { assert_eq!(0i8.wrapping_sub(127), -127); assert_eq!((-2i8).wrapping_sub(127), 127); }
assert_eq!(0i8.wrapping_sub(127), -127);
assert_eq!((-2i8).wrapping_sub(127), 127);

fn wrapping_mul(self, rhs: u64) -> u64

Wrapping (modular) multiplication. Computes self * other, wrapping around at the boundary of the type.

Examples

Basic usage:

fn main() { assert_eq!(10i8.wrapping_mul(12), 120); assert_eq!(11i8.wrapping_mul(12), -124); }
assert_eq!(10i8.wrapping_mul(12), 120);
assert_eq!(11i8.wrapping_mul(12), -124);

fn wrapping_div(self, rhs: u64) -> u64

Wrapping (modular) division. Computes self / other, wrapping around at the boundary of the type.

The only case where such wrapping can occur is when one divides MIN / -1 on a signed type (where MIN is the negative minimal value for the type); this is equivalent to -MIN, a positive value that is too large to represent in the type. In such a case, this function returns MIN itself.

Examples

Basic usage:

fn main() { assert_eq!(100u8.wrapping_div(10), 10); assert_eq!((-128i8).wrapping_div(-1), -128); }
assert_eq!(100u8.wrapping_div(10), 10);
assert_eq!((-128i8).wrapping_div(-1), -128);

fn wrapping_rem(self, rhs: u64) -> u64

Wrapping (modular) remainder. Computes self % other, wrapping around at the boundary of the type.

Such wrap-around never actually occurs mathematically; implementation artifacts make x % y invalid for MIN / -1 on a signed type (where MIN is the negative minimal value). In such a case, this function returns 0.

Examples

Basic usage:

fn main() { assert_eq!(100i8.wrapping_rem(10), 0); assert_eq!((-128i8).wrapping_rem(-1), 0); }
assert_eq!(100i8.wrapping_rem(10), 0);
assert_eq!((-128i8).wrapping_rem(-1), 0);

fn wrapping_neg(self) -> u64

Wrapping (modular) negation. Computes -self, wrapping around at the boundary of the type.

The only case where such wrapping can occur is when one negates MIN on a signed type (where MIN is the negative minimal value for the type); this is a positive value that is too large to represent in the type. In such a case, this function returns MIN itself.

Examples

Basic usage:

fn main() { assert_eq!(100i8.wrapping_neg(), -100); assert_eq!((-128i8).wrapping_neg(), -128); }
assert_eq!(100i8.wrapping_neg(), -100);
assert_eq!((-128i8).wrapping_neg(), -128);

fn wrapping_shl(self, rhs: u32) -> u64

Panic-free bitwise shift-left; yields self << mask(rhs), where mask removes any high-order bits of rhs that would cause the shift to exceed the bitwidth of the type.

Examples

Basic usage:

fn main() { assert_eq!(1u8.wrapping_shl(7), 128); assert_eq!(1u8.wrapping_shl(8), 1); }
assert_eq!(1u8.wrapping_shl(7), 128);
assert_eq!(1u8.wrapping_shl(8), 1);

fn wrapping_shr(self, rhs: u32) -> u64

Panic-free bitwise shift-left; yields self >> mask(rhs), where mask removes any high-order bits of rhs that would cause the shift to exceed the bitwidth of the type.

Examples

Basic usage:

fn main() { assert_eq!(128u8.wrapping_shr(7), 1); assert_eq!(128u8.wrapping_shr(8), 128); }
assert_eq!(128u8.wrapping_shr(7), 1);
assert_eq!(128u8.wrapping_shr(8), 128);

fn pow(self, exp: u32) -> u64

Raises self to the power of exp, using exponentiation by squaring.

Examples

Basic usage:

fn main() { assert_eq!(2i32.pow(4), 16); }
assert_eq!(2i32.pow(4), 16);

fn is_power_of_two(self) -> bool

Returns true if and only if self == 2^k for some k.

Examples

Basic usage:

fn main() { assert!(16u8.is_power_of_two()); assert!(!10u8.is_power_of_two()); }
assert!(16u8.is_power_of_two());
assert!(!10u8.is_power_of_two());

fn next_power_of_two(self) -> u64

Returns the smallest power of two greater than or equal to self. Unspecified behavior on overflow.

Examples

Basic usage:

fn main() { assert_eq!(2u8.next_power_of_two(), 2); assert_eq!(3u8.next_power_of_two(), 4); }
assert_eq!(2u8.next_power_of_two(), 2);
assert_eq!(3u8.next_power_of_two(), 4);

fn checked_next_power_of_two(self) -> Option<u64>

Returns the smallest power of two greater than or equal to n. If the next power of two is greater than the type's maximum value, None is returned, otherwise the power of two is wrapped in Some.

Examples

Basic usage:

fn main() { assert_eq!(2u8.checked_next_power_of_two(), Some(2)); assert_eq!(3u8.checked_next_power_of_two(), Some(4)); assert_eq!(200u8.checked_next_power_of_two(), None); }
assert_eq!(2u8.checked_next_power_of_two(), Some(2));
assert_eq!(3u8.checked_next_power_of_two(), Some(4));
assert_eq!(200u8.checked_next_power_of_two(), None);

Trait Implementations

impl OverflowingOps for u64

fn overflowing_add(self, rhs: u64) -> (u64, bool)

fn overflowing_sub(self, rhs: u64) -> (u64, bool)

fn overflowing_mul(self, rhs: u64) -> (u64, bool)

fn overflowing_div(self, rhs: u64) -> (u64, bool)

fn overflowing_rem(self, rhs: u64) -> (u64, bool)

fn overflowing_shl(self, rhs: u32) -> (u64, bool)

fn overflowing_shr(self, rhs: u32) -> (u64, bool)

fn overflowing_neg(self) -> (u64, bool)

impl Zero for u64

fn zero() -> u64

impl One for u64

fn one() -> u64

impl FromStr for u64

type Err = ParseIntError

fn from_str(src: &str) -> Result<u64, ParseIntError>

impl From<u8> for u64

fn from(small: u8) -> u64

impl From<u16> for u64

fn from(small: u16) -> u64

impl From<u32> for u64

fn from(small: u32) -> u64

impl Zeroable for u64

impl Add<u64> for u64

type Output = u64

fn add(self, other: u64) -> u64

impl<'a> Add<u64> for &'a u64

type Output = u64::Output

fn add(self, other: u64) -> u64::Output

impl<'a> Add<&'a u64> for u64

type Output = u64::Output

fn add(self, other: &'a u64) -> u64::Output

impl<'a, 'b> Add<&'a u64> for &'b u64

type Output = u64::Output

fn add(self, other: &'a u64) -> u64::Output

impl Sub<u64> for u64

type Output = u64

fn sub(self, other: u64) -> u64

impl<'a> Sub<u64> for &'a u64

type Output = u64::Output

fn sub(self, other: u64) -> u64::Output

impl<'a> Sub<&'a u64> for u64

type Output = u64::Output

fn sub(self, other: &'a u64) -> u64::Output

impl<'a, 'b> Sub<&'a u64> for &'b u64

type Output = u64::Output

fn sub(self, other: &'a u64) -> u64::Output

impl Mul<u64> for u64

type Output = u64

fn mul(self, other: u64) -> u64

impl<'a> Mul<u64> for &'a u64

type Output = u64::Output

fn mul(self, other: u64) -> u64::Output

impl<'a> Mul<&'a u64> for u64

type Output = u64::Output

fn mul(self, other: &'a u64) -> u64::Output

impl<'a, 'b> Mul<&'a u64> for &'b u64

type Output = u64::Output

fn mul(self, other: &'a u64) -> u64::Output

impl Div<u64> for u64

This operation rounds towards zero, truncating any fractional part of the exact result.

type Output = u64

fn div(self, other: u64) -> u64

impl<'a> Div<u64> for &'a u64

type Output = u64::Output

fn div(self, other: u64) -> u64::Output

impl<'a> Div<&'a u64> for u64

type Output = u64::Output

fn div(self, other: &'a u64) -> u64::Output

impl<'a, 'b> Div<&'a u64> for &'b u64

type Output = u64::Output

fn div(self, other: &'a u64) -> u64::Output

impl Rem<u64> for u64

This operation satisfies n % d == n - (n / d) * d. The result has the same sign as the left operand.

type Output = u64

fn rem(self, other: u64) -> u64

impl<'a> Rem<u64> for &'a u64

type Output = u64::Output

fn rem(self, other: u64) -> u64::Output

impl<'a> Rem<&'a u64> for u64

type Output = u64::Output

fn rem(self, other: &'a u64) -> u64::Output

impl<'a, 'b> Rem<&'a u64> for &'b u64

type Output = u64::Output

fn rem(self, other: &'a u64) -> u64::Output

impl Not for u64

type Output = u64

fn not(self) -> u64

impl<'a> Not for &'a u64

type Output = u64::Output

fn not(self) -> u64::Output

impl BitAnd<u64> for u64

type Output = u64

fn bitand(self, rhs: u64) -> u64

impl<'a> BitAnd<u64> for &'a u64

type Output = u64::Output

fn bitand(self, other: u64) -> u64::Output

impl<'a> BitAnd<&'a u64> for u64

type Output = u64::Output

fn bitand(self, other: &'a u64) -> u64::Output

impl<'a, 'b> BitAnd<&'a u64> for &'b u64

type Output = u64::Output

fn bitand(self, other: &'a u64) -> u64::Output

impl BitOr<u64> for u64

type Output = u64

fn bitor(self, rhs: u64) -> u64

impl<'a> BitOr<u64> for &'a u64

type Output = u64::Output

fn bitor(self, other: u64) -> u64::Output

impl<'a> BitOr<&'a u64> for u64

type Output = u64::Output

fn bitor(self, other: &'a u64) -> u64::Output

impl<'a, 'b> BitOr<&'a u64> for &'b u64

type Output = u64::Output

fn bitor(self, other: &'a u64) -> u64::Output

impl BitXor<u64> for u64

type Output = u64

fn bitxor(self, other: u64) -> u64

impl<'a> BitXor<u64> for &'a u64

type Output = u64::Output

fn bitxor(self, other: u64) -> u64::Output

impl<'a> BitXor<&'a u64> for u64

type Output = u64::Output

fn bitxor(self, other: &'a u64) -> u64::Output

impl<'a, 'b> BitXor<&'a u64> for &'b u64

type Output = u64::Output

fn bitxor(self, other: &'a u64) -> u64::Output

impl Shl<u8> for u64

type Output = u64

fn shl(self, other: u8) -> u64

impl<'a> Shl<u8> for &'a u64

type Output = u64::Output

fn shl(self, other: u8) -> u64::Output

impl<'a> Shl<&'a u8> for u64

type Output = u64::Output

fn shl(self, other: &'a u8) -> u64::Output

impl<'a, 'b> Shl<&'a u8> for &'b u64

type Output = u64::Output

fn shl(self, other: &'a u8) -> u64::Output

impl Shl<u16> for u64

type Output = u64

fn shl(self, other: u16) -> u64

impl<'a> Shl<u16> for &'a u64

type Output = u64::Output

fn shl(self, other: u16) -> u64::Output

impl<'a> Shl<&'a u16> for u64

type Output = u64::Output

fn shl(self, other: &'a u16) -> u64::Output

impl<'a, 'b> Shl<&'a u16> for &'b u64

type Output = u64::Output

fn shl(self, other: &'a u16) -> u64::Output

impl Shl<u32> for u64

type Output = u64

fn shl(self, other: u32) -> u64

impl<'a> Shl<u32> for &'a u64

type Output = u64::Output

fn shl(self, other: u32) -> u64::Output

impl<'a> Shl<&'a u32> for u64

type Output = u64::Output

fn shl(self, other: &'a u32) -> u64::Output

impl<'a, 'b> Shl<&'a u32> for &'b u64

type Output = u64::Output

fn shl(self, other: &'a u32) -> u64::Output

impl Shl<u64> for u64

type Output = u64

fn shl(self, other: u64) -> u64

impl<'a> Shl<u64> for &'a u64

type Output = u64::Output

fn shl(self, other: u64) -> u64::Output

impl<'a> Shl<&'a u64> for u64

type Output = u64::Output

fn shl(self, other: &'a u64) -> u64::Output

impl<'a, 'b> Shl<&'a u64> for &'b u64

type Output = u64::Output

fn shl(self, other: &'a u64) -> u64::Output

impl Shl<usize> for u64

type Output = u64

fn shl(self, other: usize) -> u64

impl<'a> Shl<usize> for &'a u64

type Output = u64::Output

fn shl(self, other: usize) -> u64::Output

impl<'a> Shl<&'a usize> for u64

type Output = u64::Output

fn shl(self, other: &'a usize) -> u64::Output

impl<'a, 'b> Shl<&'a usize> for &'b u64

type Output = u64::Output

fn shl(self, other: &'a usize) -> u64::Output

impl Shl<i8> for u64

type Output = u64

fn shl(self, other: i8) -> u64

impl<'a> Shl<i8> for &'a u64

type Output = u64::Output

fn shl(self, other: i8) -> u64::Output

impl<'a> Shl<&'a i8> for u64

type Output = u64::Output

fn shl(self, other: &'a i8) -> u64::Output

impl<'a, 'b> Shl<&'a i8> for &'b u64

type Output = u64::Output

fn shl(self, other: &'a i8) -> u64::Output

impl Shl<i16> for u64

type Output = u64

fn shl(self, other: i16) -> u64

impl<'a> Shl<i16> for &'a u64

type Output = u64::Output

fn shl(self, other: i16) -> u64::Output

impl<'a> Shl<&'a i16> for u64

type Output = u64::Output

fn shl(self, other: &'a i16) -> u64::Output

impl<'a, 'b> Shl<&'a i16> for &'b u64

type Output = u64::Output

fn shl(self, other: &'a i16) -> u64::Output

impl Shl<i32> for u64

type Output = u64

fn shl(self, other: i32) -> u64

impl<'a> Shl<i32> for &'a u64

type Output = u64::Output

fn shl(self, other: i32) -> u64::Output

impl<'a> Shl<&'a i32> for u64

type Output = u64::Output

fn shl(self, other: &'a i32) -> u64::Output

impl<'a, 'b> Shl<&'a i32> for &'b u64

type Output = u64::Output

fn shl(self, other: &'a i32) -> u64::Output

impl Shl<i64> for u64

type Output = u64

fn shl(self, other: i64) -> u64

impl<'a> Shl<i64> for &'a u64

type Output = u64::Output

fn shl(self, other: i64) -> u64::Output

impl<'a> Shl<&'a i64> for u64

type Output = u64::Output

fn shl(self, other: &'a i64) -> u64::Output

impl<'a, 'b> Shl<&'a i64> for &'b u64

type Output = u64::Output

fn shl(self, other: &'a i64) -> u64::Output

impl Shl<isize> for u64

type Output = u64

fn shl(self, other: isize) -> u64

impl<'a> Shl<isize> for &'a u64

type Output = u64::Output

fn shl(self, other: isize) -> u64::Output

impl<'a> Shl<&'a isize> for u64

type Output = u64::Output

fn shl(self, other: &'a isize) -> u64::Output

impl<'a, 'b> Shl<&'a isize> for &'b u64

type Output = u64::Output

fn shl(self, other: &'a isize) -> u64::Output

impl Shr<u8> for u64

type Output = u64

fn shr(self, other: u8) -> u64

impl<'a> Shr<u8> for &'a u64

type Output = u64::Output

fn shr(self, other: u8) -> u64::Output

impl<'a> Shr<&'a u8> for u64

type Output = u64::Output

fn shr(self, other: &'a u8) -> u64::Output

impl<'a, 'b> Shr<&'a u8> for &'b u64

type Output = u64::Output

fn shr(self, other: &'a u8) -> u64::Output

impl Shr<u16> for u64

type Output = u64

fn shr(self, other: u16) -> u64

impl<'a> Shr<u16> for &'a u64

type Output = u64::Output

fn shr(self, other: u16) -> u64::Output

impl<'a> Shr<&'a u16> for u64

type Output = u64::Output

fn shr(self, other: &'a u16) -> u64::Output

impl<'a, 'b> Shr<&'a u16> for &'b u64

type Output = u64::Output

fn shr(self, other: &'a u16) -> u64::Output

impl Shr<u32> for u64

type Output = u64

fn shr(self, other: u32) -> u64

impl<'a> Shr<u32> for &'a u64

type Output = u64::Output

fn shr(self, other: u32) -> u64::Output

impl<'a> Shr<&'a u32> for u64

type Output = u64::Output

fn shr(self, other: &'a u32) -> u64::Output

impl<'a, 'b> Shr<&'a u32> for &'b u64

type Output = u64::Output

fn shr(self, other: &'a u32) -> u64::Output

impl Shr<u64> for u64

type Output = u64

fn shr(self, other: u64) -> u64

impl<'a> Shr<u64> for &'a u64

type Output = u64::Output

fn shr(self, other: u64) -> u64::Output

impl<'a> Shr<&'a u64> for u64

type Output = u64::Output

fn shr(self, other: &'a u64) -> u64::Output

impl<'a, 'b> Shr<&'a u64> for &'b u64

type Output = u64::Output

fn shr(self, other: &'a u64) -> u64::Output

impl Shr<usize> for u64

type Output = u64

fn shr(self, other: usize) -> u64

impl<'a> Shr<usize> for &'a u64

type Output = u64::Output

fn shr(self, other: usize) -> u64::Output

impl<'a> Shr<&'a usize> for u64

type Output = u64::Output

fn shr(self, other: &'a usize) -> u64::Output

impl<'a, 'b> Shr<&'a usize> for &'b u64

type Output = u64::Output

fn shr(self, other: &'a usize) -> u64::Output

impl Shr<i8> for u64

type Output = u64

fn shr(self, other: i8) -> u64

impl<'a> Shr<i8> for &'a u64

type Output = u64::Output

fn shr(self, other: i8) -> u64::Output

impl<'a> Shr<&'a i8> for u64

type Output = u64::Output

fn shr(self, other: &'a i8) -> u64::Output

impl<'a, 'b> Shr<&'a i8> for &'b u64

type Output = u64::Output

fn shr(self, other: &'a i8) -> u64::Output

impl Shr<i16> for u64

type Output = u64

fn shr(self, other: i16) -> u64

impl<'a> Shr<i16> for &'a u64

type Output = u64::Output

fn shr(self, other: i16) -> u64::Output

impl<'a> Shr<&'a i16> for u64

type Output = u64::Output

fn shr(self, other: &'a i16) -> u64::Output

impl<'a, 'b> Shr<&'a i16> for &'b u64

type Output = u64::Output

fn shr(self, other: &'a i16) -> u64::Output

impl Shr<i32> for u64

type Output = u64

fn shr(self, other: i32) -> u64

impl<'a> Shr<i32> for &'a u64

type Output = u64::Output

fn shr(self, other: i32) -> u64::Output

impl<'a> Shr<&'a i32> for u64

type Output = u64::Output

fn shr(self, other: &'a i32) -> u64::Output

impl<'a, 'b> Shr<&'a i32> for &'b u64

type Output = u64::Output

fn shr(self, other: &'a i32) -> u64::Output

impl Shr<i64> for u64

type Output = u64

fn shr(self, other: i64) -> u64

impl<'a> Shr<i64> for &'a u64

type Output = u64::Output

fn shr(self, other: i64) -> u64::Output

impl<'a> Shr<&'a i64> for u64

type Output = u64::Output

fn shr(self, other: &'a i64) -> u64::Output

impl<'a, 'b> Shr<&'a i64> for &'b u64

type Output = u64::Output

fn shr(self, other: &'a i64) -> u64::Output

impl Shr<isize> for u64

type Output = u64

fn shr(self, other: isize) -> u64

impl<'a> Shr<isize> for &'a u64

type Output = u64::Output

fn shr(self, other: isize) -> u64::Output

impl<'a> Shr<&'a isize> for u64

type Output = u64::Output

fn shr(self, other: &'a isize) -> u64::Output

impl<'a, 'b> Shr<&'a isize> for &'b u64

type Output = u64::Output

fn shr(self, other: &'a isize) -> u64::Output

impl AddAssign<u64> for u64

fn add_assign(&mut self, other: u64)

impl SubAssign<u64> for u64

fn sub_assign(&mut self, other: u64)

impl MulAssign<u64> for u64

fn mul_assign(&mut self, other: u64)

impl DivAssign<u64> for u64

fn div_assign(&mut self, other: u64)

impl RemAssign<u64> for u64

fn rem_assign(&mut self, other: u64)

impl BitAndAssign<u64> for u64

fn bitand_assign(&mut self, other: u64)

impl BitOrAssign<u64> for u64

fn bitor_assign(&mut self, other: u64)

impl BitXorAssign<u64> for u64

fn bitxor_assign(&mut self, other: u64)

impl ShlAssign<u8> for u64

fn shl_assign(&mut self, other: u8)

impl ShlAssign<u16> for u64

fn shl_assign(&mut self, other: u16)

impl ShlAssign<u32> for u64

fn shl_assign(&mut self, other: u32)

impl ShlAssign<u64> for u64

fn shl_assign(&mut self, other: u64)

impl ShlAssign<usize> for u64

fn shl_assign(&mut self, other: usize)

impl ShlAssign<i8> for u64

fn shl_assign(&mut self, other: i8)

impl ShlAssign<i16> for u64

fn shl_assign(&mut self, other: i16)

impl ShlAssign<i32> for u64

fn shl_assign(&mut self, other: i32)

impl ShlAssign<i64> for u64

fn shl_assign(&mut self, other: i64)

impl ShlAssign<isize> for u64

fn shl_assign(&mut self, other: isize)

impl ShrAssign<u8> for u64

fn shr_assign(&mut self, other: u8)

impl ShrAssign<u16> for u64

fn shr_assign(&mut self, other: u16)

impl ShrAssign<u32> for u64

fn shr_assign(&mut self, other: u32)

impl ShrAssign<u64> for u64

fn shr_assign(&mut self, other: u64)

impl ShrAssign<usize> for u64

fn shr_assign(&mut self, other: usize)

impl ShrAssign<i8> for u64

fn shr_assign(&mut self, other: i8)

impl ShrAssign<i16> for u64

fn shr_assign(&mut self, other: i16)

impl ShrAssign<i32> for u64

fn shr_assign(&mut self, other: i32)

impl ShrAssign<i64> for u64

fn shr_assign(&mut self, other: i64)

impl ShrAssign<isize> for u64

fn shr_assign(&mut self, other: isize)

impl PartialEq<u64> for u64

fn eq(&self, other: &u64) -> bool

fn ne(&self, other: &u64) -> bool

impl Eq for u64

impl PartialOrd<u64> for u64

fn partial_cmp(&self, other: &u64) -> Option<Ordering>

fn lt(&self, other: &u64) -> bool

fn le(&self, other: &u64) -> bool

fn ge(&self, other: &u64) -> bool

fn gt(&self, other: &u64) -> bool

impl Ord for u64

fn cmp(&self, other: &u64) -> Ordering

impl Clone for u64

fn clone(&self) -> u64

fn clone_from(&mut self, source: &Self)

impl Default for u64

fn default() -> u64

impl Step for u64

fn step(&self, by: &u64) -> Option<u64>

fn steps_between(start: &u64, end: &u64, by: &u64) -> Option<usize>

impl Hash for u64

fn hash<H>(&self, state: &mut H) where H: Hasher

fn hash_slice<H>(data: &[u64], state: &mut H) where H: Hasher

impl Binary for u64

fn fmt(&self, f: &mut Formatter) -> Result<(), Error>

impl Octal for u64

fn fmt(&self, f: &mut Formatter) -> Result<(), Error>

impl LowerHex for u64

fn fmt(&self, f: &mut Formatter) -> Result<(), Error>

impl UpperHex for u64

fn fmt(&self, f: &mut Formatter) -> Result<(), Error>

impl Debug for u64

fn fmt(&self, f: &mut Formatter) -> Result<(), Error>

impl Display for u64

fn fmt(&self, f: &mut Formatter) -> Result<(), Error>